串口通信电路论文_董坤

导读:本文包含了串口通信电路论文开题报告文献综述、选题提纲参考文献及外文文献翻译,主要关键词:串口,通信,电路,单片机,微型计算机,抗干扰,数据传输。

串口通信电路论文文献综述

董坤[1](2019)在《基于FPGA的低功耗串口通信驱动电路设计》一文中研究指出随着半导体行业和集成电路的快速发展,芯片的工作频率与集成度迅速提高,电路的功耗也在迅速增加。然而功耗的增加会导致芯片发热,降低电路设计的可靠性以及稳定性,增大电路的设计成本。因此,功耗已经成为集成电路设计的重要约束条件。通常采用门控时钟技术减少电路中冗余状态的翻转来实现低功耗电路设计,但是门控时钟技术在降低系统功耗的同时会增加门控电路的复杂度,带来一些额外的附加功耗,而且会增加电路系统中的竞争冒险。本文将基于算法优化与电路控制的两种门控时钟技术相结合,提出一种新的低功耗时序电路设计方法,并将其运用到串口通信驱动电路设计中。在优化算法上,引入行为卡诺图的分析设计理念,直观展现出电路中每个触发器的冗余时钟信号的分布;在电路结构中,依据电路系统中的状态转换特性,合理的选择由门控电路构建的自控触发器,并以4位扭环型计数器为设计实例,将与非结构、或门结构以及异或结构的新型4位扭环形计数器与传统的4位扭环形计数器相比较,发现异或结构能全面消除电路系统中的冗余时钟信号,从而降低电路系统中所存在的功耗。最后将硬件驱动电路与门控时钟技术相结合,设计了一个基于FPGA的低功耗串口通信驱动电路,通过消除驱动电路中的冗余时钟信号来降低驱动电路的整体功耗。通过Quartus II进行时序仿真验证了本文设计的新型低功耗时序电路以及低功耗串口通信驱动电路的优越性与可行性。本文研究的基于FPGA的低功耗串口通信驱动电路设计,能够应用于降低其他驱动电路功耗的设计中,为其设计者提供了一定的参考。(本文来源于《淮北师范大学》期刊2019-05-01)

黄定威,杨国庆,陈深,何泓儒[2](2019)在《基于USB和串口通信的调度命令提示电路设计》一文中研究指出文章提出一种可受计算机控制的信息提示电路系统,计算机运行特定程序后,可判断发令系统的信息反馈需要哪个发令用户进行确认,从而进行针对性控制,达到精准提示效果,相当于为发令用户过滤无用信息,将其应用于电力调度员进行网络发令操作调度命令票,预期可以极大提高调度员的工作效率和操作水平。(本文来源于《中国高新科技》期刊2019年02期)

朱玉成,董文学[3](2018)在《基于FPGA的串口通信电路设计与实现》一文中研究指出随着计算机技术的发展,计算机应用场景在不断增多,交流数据内容在不断扩大,信息数据量逐渐增多,这使得计算机与其他终端的性能要求在不断提高,信息传输速度要越来越快。计算机与调制解调器采用的串口通信接口电路,一般的串口通信电路缓存数据的容量小,面对当前庞大的数据传输量,在高速传输中,会使信息发送中断,这样不利于信息的高速传输。FPGA是指现场可编程的逻辑门阵列,其具有较高的性能、可以进行拓展设计、功耗较低、容量较大等特点,在计算机接口电路中基于FPGA实现串口通信电路设计,对计算机通信速度的提升有着较大的帮助。(本文来源于《通讯世界》期刊2018年03期)

党俊博,李哲,李雅俊[4](2016)在《基于FPGA的串口通信电路设计与实现》一文中研究指出针对计算机与基于FPGA控制系统需要进行数据通信的需求,采用有限状态机设计了一种串口通信电路。该电路实现了控制系统与计算机之间的通信,包括计算机发送给控制系统的控制命令和参数;控制系统发送到计算机的运行状态信息。使用ISE软件中的嵌入式逻辑分析仪Chipscope对通信电路进行测试,结果表明,该电路工作稳定可靠,能够完成数据传输的功能。(本文来源于《电子科技》期刊2016年07期)

邱勇,彭传勇,李艳阳[5](2016)在《远程监控OEM设备串口通信电路改进方案的设计》一文中研究指出针对OEM设备在远程监控系统运行维护过程中存在串口通信电路抗干扰能力不强,通信模块和元件容易遭雷电或静电损坏等问题,本文提出了一种串口通信电路的改进方案。(本文来源于《视听》期刊2016年05期)

薛梁[6](2014)在《基于FPGA实现高速串口通信的电路设计》一文中研究指出随着计算机技术和通信技术的不断发展与融合,一方面,计算机与其它终端设备的性能不断提高,处理数据的速度不断增大;另一方面,计算机和其它终端设备之间的通信速度要求越来越高,调制解调器处理信号的速度也越来越快。连接终端与调制解调器进行串并数据格式转换和信号控制的电路是串口通信接口电路。对于普通的串口通信电路,当由于缓存数据的容量较小,就会导致在高速的通信系统下,接口电路频繁向终端发送中断请求,这样就会降低终端设备处理器的工作效率,同时也降低整个通信系统的速度,不利于实现高速的通信。本论文所涉及的实验,改进了传统的串口通信电路缓存容量小的缺点。在计算机或终端设备与接口电路之间加入FIFO(先入先出)存储器,用来缓存要存储的数据。由于基于FPGA(现场可编程的逻辑门阵列)的逻辑器件设计的电路具有低功耗,高性能,设计方便,可扩展,可重复等优点,本实验对整个电路的设计都采用FPGA可编程的方式。分别基于FPGA设计异步高速FIFO存储器,串口通信接收与发送电路的各个模块。再对整个电路进行综合与仿真,得到了较为理想的结果。本文的重点是将FIFO存储器用到串口通信的接口电路中,对整个电路进行综合与仿真评估。在文章的第二、叁章,也对FPGA的相关基础和串口通信的知识与标准做了相应的介绍。文章的第四章,对电路的设计思想以及FIFO的相关原理做了简要的介绍。(本文来源于《华中科技大学》期刊2014-01-02)

王文斐,黄长建[7](2013)在《基于单片机的任意波发生器电路设计中的串口通信》一文中研究指出本设计由单片机与PC机之间的RS-232串行通信端口进行连接。操作者在PC机上选择、编辑、绘制好的所需输出的波形,设置好输出信号的幅度、频率后,然后将这些数据通过RS-232串行通信端口送给单片机,单片机将接收到的数据存入RAM,然后进行A/D、D/A转换、数据处理,按所给的幅度、频率输出操作者所需要的信号波形。其中串口通信是单片机与PC机进行通信的手段。(本文来源于《内江科技》期刊2013年10期)

钱震宇,肖昌汉[8](2011)在《基于GM8125的单片机多串口通信电路的设计与实现》一文中研究指出介绍了一种利用GM8125扩展普通的51单片机串口的硬件电路的设计方法,完成多串口通信的功能,给出了软件的设计思路和流程,以及最终测试的效果。(本文来源于《船电技术》期刊2011年11期)

苏明,姚冬苹[9](2011)在《专用异步串口通信电路的FPGA实现》一文中研究指出提出了一种利用异步串行通信接口电路的FPGA实现方法,该方法运用双端口RAM乒乓操作、CRC校验、状态机、组帧等操作描述了各个功能模块的FPGA设计,同时给出了该异步接口电路在集成开发软件Xilinx ISE11.1下的Modelsim仿真结果。结果证明,该异步串口通信设计可以简化电路并提高程序的灵活性和实用性,有利于程序在不同场合下的应用。(本文来源于《物联网技术》期刊2011年03期)

周屹,雷霞,唐万斌[10](2006)在《基于FPGA的串口与ADSP-TS201通信的电路设计》一文中研究指出介绍了两种基于FPGA技术实现PC串口与ADSP-TS201之间数据传输的方法。给出了各个模块的具体设计,以及整体设计的实现,并验证了用FPGA实现PC与ADSP-TS201进行通信的可行性。在本设计中,由于串口对清除发送(CTS)控制信号的检测及响应不一定及时。这给对串口的准确控制带来了一定难度。解决这一问题是本设计的关键之一。(本文来源于《2006中国西部青年通信学术会议论文集》期刊2006-12-01)

串口通信电路论文开题报告

(1)论文研究背景及目的

此处内容要求:

首先简单简介论文所研究问题的基本概念和背景,再而简单明了地指出论文所要研究解决的具体问题,并提出你的论文准备的观点或解决方法。

写法范例:

文章提出一种可受计算机控制的信息提示电路系统,计算机运行特定程序后,可判断发令系统的信息反馈需要哪个发令用户进行确认,从而进行针对性控制,达到精准提示效果,相当于为发令用户过滤无用信息,将其应用于电力调度员进行网络发令操作调度命令票,预期可以极大提高调度员的工作效率和操作水平。

(2)本文研究方法

调查法:该方法是有目的、有系统的搜集有关研究对象的具体信息。

观察法:用自己的感官和辅助工具直接观察研究对象从而得到有关信息。

实验法:通过主支变革、控制研究对象来发现与确认事物间的因果关系。

文献研究法:通过调查文献来获得资料,从而全面的、正确的了解掌握研究方法。

实证研究法:依据现有的科学理论和实践的需要提出设计。

定性分析法:对研究对象进行“质”的方面的研究,这个方法需要计算的数据较少。

定量分析法:通过具体的数字,使人们对研究对象的认识进一步精确化。

跨学科研究法:运用多学科的理论、方法和成果从整体上对某一课题进行研究。

功能分析法:这是社会科学用来分析社会现象的一种方法,从某一功能出发研究多个方面的影响。

模拟法:通过创设一个与原型相似的模型来间接研究原型某种特性的一种形容方法。

串口通信电路论文参考文献

[1].董坤.基于FPGA的低功耗串口通信驱动电路设计[D].淮北师范大学.2019

[2].黄定威,杨国庆,陈深,何泓儒.基于USB和串口通信的调度命令提示电路设计[J].中国高新科技.2019

[3].朱玉成,董文学.基于FPGA的串口通信电路设计与实现[J].通讯世界.2018

[4].党俊博,李哲,李雅俊.基于FPGA的串口通信电路设计与实现[J].电子科技.2016

[5].邱勇,彭传勇,李艳阳.远程监控OEM设备串口通信电路改进方案的设计[J].视听.2016

[6].薛梁.基于FPGA实现高速串口通信的电路设计[D].华中科技大学.2014

[7].王文斐,黄长建.基于单片机的任意波发生器电路设计中的串口通信[J].内江科技.2013

[8].钱震宇,肖昌汉.基于GM8125的单片机多串口通信电路的设计与实现[J].船电技术.2011

[9].苏明,姚冬苹.专用异步串口通信电路的FPGA实现[J].物联网技术.2011

[10].周屹,雷霞,唐万斌.基于FPGA的串口与ADSP-TS201通信的电路设计[C].2006中国西部青年通信学术会议论文集.2006

论文知识图

串口通信接口电路主控电路板实物图软件界面一13USB接口扩展电路板74LS595级联图 单片机及串口通信外扩串口通信电路原理

标签:;  ;  ;  ;  ;  ;  ;  

串口通信电路论文_董坤
下载Doc文档

猜你喜欢